所有分类
  • 所有分类
  • 精品课程
  • 课件资料
  • 标准资料
  • 资料手册
  • 图纸模型
  • 解说文案

《电子技术应用实验2(数字电路综合实验)》PPT课件 陈瑜 电子科技大学

电子技术应用实验2(数字电路综合实验)_电子科技大学
 
课件内容: 
电子秒表-电子秒表电路设计 
掌握时钟产生电路设计、掌握启动和停止电路设计。掌握电子秒表电路的设计原理,掌握各部分电路的连接以及测试方法。 
2学时 
电子秒表-异步计数器 
掌握异步2-5-10进制计数器的应用,掌握异步2-6分频计数器的应用,掌握静态显示译码电路的应用 
2学时 
555集成定时器的应用-多谐振荡器 
掌握555定时器使用方法及工作原理;熟悉多谐振荡器的工作特点和典型应用;掌握555定时器构成多谐振荡器电路的方法;掌握定时元件R、C与脉冲周期与宽度的关系。了解电子贺卡圣诞树的设计。 
2学时 
555集成定时器的应用-单稳态触发器与施密特触发器 
掌握555定时器使用方法及工作原理;熟悉施密特触发器、单稳态触发器的工作特点和典型应用;掌握555定时器构成单稳态触发器、施密特触发器的原理以及参数测试方法。 
2学时 
触发器实现波形整形及脉冲延时的研究-单稳态触发器 
掌握使用集成门电路构成单稳态触发器的基本方法。了解集成单稳态触发器的使用。掌握微分输入电路和微分定时电路的应用,掌握单稳态触发器在电路中的延时与定时作用。 
2学时 
数据选择和译码显示 
掌握双4选1数据选择器的功能和应用方法。掌握二进制译码器的输入输出特点以及应用、掌握七段显示译码器及数码管的功能和使用方法。掌握二进制计数器的输出波形时序测试方法以及应用。了解利用数据选择器和译码器等进行数据动态显示的原理以及电路设计方法。掌握设计基于数选电路的简易交通灯电路。 
2学时 
触发器实现波形整形及脉冲延时的研究-施密特触发器 
掌握使用集成门电路构成施密特触发器的基本方法。了解集成施密特触发器的使用。掌握施密特触发器在波形整形电路中的作用。 
2学时 
数字电路综合实验总结 
掌握数字电路实验的方法与技能,了解数字电路的综合应用方法。 
2学时 
数字电路综合实验考核  
通过一道设计题目,掌握数字电路实验的方法与技能,掌握常用组合与时序逻辑电路的综合应用方法。 
2学时 
基于Verilog的FPGA 应用初步 
FPGA设计及FPGA开发板资源;Vivado设计开发FPGA流程;FPGA实现门电路构成的组合逻辑电路。 
2学时 
基于Verilog的数码管显示译码器的实现 
学习7段数码管的显示原理及程序设计,学习显示译码器的设计;进一步熟悉Vivado设计开发流程中的功能仿真方法观测仿真波形。 
2学时 
基于Verilog的计数器、分频器、定时器的设计与实现 
基本模16计数器设计、仿真、下载;带同步清零端的模16计数器设计、下载;利用修改计数值来改变模值的n位计数器设计;周期为1秒的脉冲信号设计、下载;产生频率为1KHz的脉冲信号,约束输出至I/o端口,用示波器实测波形。将周期为1秒的脉冲信号作为模10计数器时钟,将计数结果(0-9)显示在最右端的1位数码管上。 
2学时 
基于Verilog的数码管动态显示与IP核的应用 
实现3位数码管动态显示“789”并生成3位动态显示IP核;生成显示数据可以外输入的6位数码管动态显示IP核;调用IP核并在数码管上显示“012345”。 
2学时 
基于Verilog的矩阵键盘原理及按键消抖程序设计 
学习矩阵键盘的设计以及使用原理;学习按键消抖基本原理以及使用;将行列式按键中的键KEY0直接作为12位2进制计数器cnt2的时钟,将结果显示在6位数码管左3位上;同时将行列式按键中的键KEY0经过消抖后作为12位2进制计数器cnt1的时钟,将结果显示在6位数码管右3位上;大约每个1秒按下一次按键,观测两个计数器的输出情况,对比观察按键消抖前与消抖后作为12进制计数器时钟时对计数器的影响。 
2学时 
基于Verilog的状态机控制流水灯设计 
基础内容: 设计分频电路,可以点亮一个LED等。挑战任务:设计状态机,可以控制一个流水灯,流水灯具有不同变化序列。 
2学时 
基于Verilog的计数器的级联 
计数器的级联基础内容:设计一个三位8421BCD码的同步加法计数器,在右边的三个数码管上显示000~999,个位在最右边,下载到开发板上验证。具体要求:计数器须由3个模10计数器同步级联构成,按键控制启动/停止,按键上拨时启动电路工作,按键下拨时电路暂停,异步清零(低电平有效),按键下拨时实现计数器清零,上拨时清零失效。 挑战任务:设计并实现一个具有倒计时功能的定时器,定时时间是30 s,能以数字形式显示剩余时间。定时器按递减方式计时,每隔1 s,定时器减1;当定时器递减计时到0(即定时时间到)时,在最右边两个数码管上显示00 ,要求计数器同步级联,按键控制启动/停止,异步清零,下载到开发板上验证。 
2学时 
基于Verilog的电子秒表设计 
基础任务:计时范围从00分00.00秒到59分59.99秒;能完成复位、启动/暂停功能。复位开关让秒表复位归零;启动/暂停开关上拨让秒表计时;在秒表正常运行的情况下,如果下拨启动/暂停开关,秒表暂停计时。显示工作方式:用6位七段数码管显示读数。挑战任务:增加其他功能可自由发挥,如能实现有加分。 
2学时 

资源下载
下载价格10 金币
VIP 5折
0
没有账号?注册  忘记密码?

社交账号快速登录